Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Getting Smaller

Supplying chemicals to the semiconductor industry presents challenges above mere technical ones

by Alexander H. Tullo
July 31, 2006 | A version of this story appeared in Volume 84, Issue 31

INSIDE THE SHOW
[+]Enlarge
Credit: ALEX TULLO/C&EN
Suppliers of materials to the semiconductor industry showed off their wares at the Semicon West show in San Francisco earlier this month.
Credit: ALEX TULLO/C&EN
Suppliers of materials to the semiconductor industry showed off their wares at the Semicon West show in San Francisco earlier this month.

The semiconductor industry marches to a beat set by Moore's law, Intel cofounder Gordon Moore's prediction that the number of components on semiconductor chips will double every two years. Constant miniaturization has been the industry's prevailing means of keeping up this pace. And as chips get smaller, challenges arise for semiconductor manufacturers, equipment makers, and materials suppliers.

To keep such participants working together, the semiconductor industry has crafted the International Technology Roadmap for Semiconductors (ITRS), which lays out what technology and manufacturing advances will be needed for the industry to roll out future technology "nodes"—a term that relates to the size of the smallest features on the chip. Most of today's cutting-edge chips are on the 90-nm node. Next-generation nodes are 65 nm, which Intel is already producing; 45 nm; and 32 nm.

Dielectric and lithography materials are both intimately affected by this miniaturization. Dielectrics come in two flavors. Low-k, or low-dielectric-constant, materials prevent "cross talk" between the closely spaced circuit lines of the chip. High-k dielectrics are used in electronic gates and capacitors. Lithography, meanwhile, involves photoresists and other supporting materials that allow the use of light to draw the features of the chip onto a silicon wafer.

Semiconductor industry suppliers showcased new materials in these categories earlier this month at Semicon West, a trade show in San Francisco organized by Semiconductor Equipment & Materials International. But at the same time, suppliers fretted about the business' increasingly high costs, wondering if their hard work in developing new technologies would see a financial payoff.

The low-k business has been somewhat discouraging. Traditionally, the semiconductor industry used chemical vapor deposition (CVD) to transform the precursor tetraethyl orthosilicate into a dielectric layer of silicon dioxide, which has a k value of 4.2.

At the 180-nm node, the industry started depositing fluorinated silicate glass (FSG) to lower the k value to about 3.7. Materials suppliers had gambled that newer low-k materials would be incorporated at the 130-nm node. Instead, the semiconductor industry extended FSG to 130 nm and then, to a large extent, to 90 nm.

However, Dan O'Connell, director of emerging materials for Air Products, said that at 90 nm, new precursor materials such as trimethylsilane (3MS) started to come into play, and these will be important materials for the 65-nm node.

Air Products had hoped that its diethoxymethylsilane (DEMS) precursor would be incorporated at 65 nm; instead, the company will have to wait until the next technology node with a modification that the firm unveiled at Semicon called PDEMS, for porous DEMS. It is a blend of DEMS with a hydrocarbon-based "porogen" material.

In the porous low-k process, pockets of air having a dielectric constant of 1 are introduced by removing the porogen via a curing technique. "The more pores you can put into the material, the lower the dielectric constant," O'Connell said. The porogen, curing technique, and process conditions determine the resulting dielectric constant and mechanical strength.

O'Connell said the company can achieve a balance of strength and dielectric constant at a k value of 2.5, which would be needed for 45 nm. "We can tune dielectric constant to less than 2.0 right now, but the mechanical properties are not the greatest," he said. "We can make it work at 45 nm and 32 nm, and the scientific challenge over the next three to four years is how we make it work beyond that."

During the show, process equipment maker Novellus and ATDF, an R&D arm of semiconductor organization Sematech, announced they would supply the semiconductor industry with 2.5-k porous test wafers.

Air Liquide is also working on porous low-k systems, said Jean-Marc Girard, director of the company's Aloha atomic layer deposition (ALD)/CVD business line. Two precursor candidates stand out in the industry, although the toxicity of one of them is uncertain. "We are looking for analogs of this molecule that would yield the same film or a similar film without the toxicity issue," he said.

Eric R. Johnson, president of JSR Micro, said his company has been working on spin-on dielectrics. Though these materials have fundamentally lower k values than ones applied by CVD, he conceded that customers have been wary of spin-on application techniques. "For our technique, we are looking at the 32-nm node right now," he said.

The picture for high-k materials is different from that for low-k, observers pointed out. They said sales of high-k materials have taken off in uses such as memory chip capacitors, although they acknowledge that high-k will always be more of a niche market than low-k. Use of high-k as a gate dielectric in logic chips is further out.

"The high-k market has been completely explosive," Girard said, noting that the dominant materials so far have been based on hafnium and zirconium. To address growing dielectric demand, the company is establishing purification and packaging plants in Fremont, Calif., and Chalon-sur-Saône, France.

Praxair's sales of high-k hafnium amide precursors have mostly been to the memory sector, according to Scott H. Meiere, a development associate for electronic materials R&D at Praxair. He said that some applications in logic chips will likely open up in 45 nm, though he considers 32 nm to be the safest bet. "In logic, Hf precursors will last a couple of nodes, and then they will need something beyond Hf," he said.

According to the company, next-generation high-k dielectrics include zirconium oxides, which have possible higher k values than Hf, but with lower stability; lanthanide oxides, with higher k values and better voltage control; and titanates, which also have high k values but lower stability. "Lanthanides are the leading candidates, but the precursors aren't well-established," Meiere said.

Technologies in the lithography market are also proliferating. "The pressure has been intense to bring on new imaging systems," JSR's Johnson said.

Reaching each new node requires lithographic technology developers to improve resolution by boosting the numerical aperture lens system or shortening the wavelength of the light that patterns circuit lines. Lithographers previously used mercury arc lamps to provide 436- and 365-nm light, and then laser-based deep-UV systems to provide 248-nm light.

For the 90- and 65-nm nodes, 193-nm deep-UV light prevails in most critical semiconductor layers. Future nodes will see the introduction and increasing use of immersion lithography, in which water is used to reduce effective wavelength. The industry had been working on laser systems based on 157-nm light, but this technology "crashed and burned," Johnson said. "157 nm wasn't going to get the job done, and immersion came in and enabled the next node."

Eventually, circuit lines will get so narrow that the industry will move to extreme-ultraviolet (EUV) systems. The question is when. Johnson doesn't think EUV will be ready for the 32-nm technology node.

And the industry may come up with ways to extend the use of immersion technology at 193 nm. Earlier this year, JSR and IBM announced the successful patterning of sub-30-nm lines using an immersion lithography system developed by IBM and a JSR high-refractive-index fluid.

But materials suppliers are already looking at developing materials for EUV. For example, David B. Miller, vice president and general manager of DuPont Electronic Technologies, said his company is working on photoresist polymers for EUV.

According to Brian Larabee, global marketing director for electronic materials at Honeywell, future technology nodes present opportunities for other materials as well. Bottom antireflective coatings will become more important as a means to soak up errant photons. "As your gates get smaller and smaller, you have less tolerance for reflection," he said.

In electronic chemicals, the push to smaller and smaller technology nodes comes with unpleasant side effects. One of these is risk. Chemical suppliers spent a lot of money on low-k and 157-nm lithography materials that were adopted later than expected, or not at all. Another side effect is that it is hard to recover R&D spending on the low-volume, specialized materials used in newer chips.

DuPont's Miller said the extension of established technologies to new technology nodes often leaves developers of new materials holding the bag. "If you miss a node, you have to wait until the next node, which is a couple of years out," he said. "Missing a node is a painful process."

Electronic chemicals R&D is getting more expensive, Miller added, noting that a piece of equipment for newer technologies such as 300-mm silicon wafers can cost upward of $10 million. "If you spend money on equipment trying to replicate your customer's process and you can only leverage one product through, there is no incentive," he said. "If you can leverage 20 through it, you can spread the cost."

Miller warned that such pressures could lead to more consolidation in the semiconductor materials industry. He also said the industry may eventually look to other models to earn money. "The industry has yet to embrace the concept of licensing processes and technology from their suppliers," he said.

Air Liquide's Girard noted that R&D conducted for a customer on one product can lead to other business. "We work with them on process development with our proprietary chemistry, and mostly thanks to that, we get the business for other materials," he said. "So in a way, the return on my R&D project is not only on the sales of the molecule that I am developing with the customer."

While materials researchers focus on advanced nodes like 65 and 45 nm, workhorse semiconductors are typically based on older technology, according to Honeywell's Larabee. Cutting-edge circuitry isn't needed, for example, in a car's dashboard controllers. "Most devices are not less than 0.18-µm devices," he said.

Although sales in Honeywell's business are split roughly 50-50 between chips that are 90 nm and smaller and chips that are 130 nm and above, the focus is on the smaller. "Everyone likes to geek out on the 0.045-µm-and-below technology because that's where the high-end guys are going," Larabee said.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.