Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Chemistry Matters To Chip Makers

As advanced electronic components become complex, materials suppliers find new opportunities

by Jean-François Tremblay
July 12, 2010 | A version of this story appeared in Volume 88, Issue 28

MATERIALS DEVELOPMENT
[+]Enlarge
Credit: BASF
A scientist at a BASF facility in Germany tests new types of chemicals for use in electronics fabrication.
Credit: BASF
A scientist at a BASF facility in Germany tests new types of chemicals for use in electronics fabrication.

Soon after Intel announced that it would supply chips to the mobile phone company Nokia, Nobu Koshiba, the president of Japanese electronic chemical maker JSR Corp., went to visit the chip manufacturer. To his surprise, he learned that Intel was planning to supply Nokia with a new chip, the Atom, which would feature extremely fine circuitry. “I expected Intel to be selling Nokia a chip with a more mature technology, but instead, they are selling their most advanced chips to this phone maker,” Koshiba says. The Atom features sophisticated 45-nm-wide circuitry.

COVER STORY

Chemistry Matters To Chip Makers

People everywhere can now watch television on a mobile phone, carry thousands of songs in a back pocket, or use a personal digital assistant like a desktop computer. The electronics industry has entered a golden age of mass-marketed devices that do extraordinary things.

At their core, these consumer gadgets feature extremely advanced microchips that are produced with significant assistance from the chemical industry. And products now under development will require many new materials, as well as chemistry know-how. Combine this scenario with a rebounding market for semiconductors that could grow by 30% this year, and opportunities abound for chemical firms.

“There’s far more complexity in terms of materials,” says Cathie Markham, Dow Chemical’s director of R&D for electronic materials. “The material platforms are all cascading; you see materials that were used in the printed circuit board going into semiconductors.” The performance requirements for semiconductor applications demand modifications to materials formulations and chemistries, as well as higher purities, she adds. And because of these different formulations, Dow’s product lineup has been expanding to keep pace with the semiconductor industry’s surging need for new materials, she notes.

The proliferation of new materials has been spectacular, confirms John Doering, director of deposition technologies at ATMI, a firm that specializes in developing and manufacturing semiconductor chemicals. Whereas five years ago semiconductors were made of only about a half-dozen materials, he says, nowadays “it looks like half the periodic table” is being used to achieve the desired electrical functionality under the thermal processing conditions that are needed during manufacturing.

The materials explosion means that chemicals used in the manufacture of microchips can come from unexpected places. “Maybe an agrochemical product will end up being used in a semiconductor application—you never know,” says Wouter Taen, BASF’s vice president of electronic materials in Asia. BASF’s vast range of chemicals, he claims, is an asset in developing new formulations for the semiconductor industry. For example, he says, one of the company’s chemicals developed for conditioning hair is now sold for use in electroplating processes.

Two trends in microchip design underlie the increasing complexity of electronics and the thirst for new materials. One is the doubling of chips’ transistor densities every 18 months, which is well-known as Moore’s law. It has remained valid for decades, even though circuitry has reached a truly minuscule scale.

“Theoretically, at some point it has to stop,” says Corning F. Painter, a vice president at Air Products & Chemicals who manages the company’s global electronics business. “You will never go smaller than an atom, but up until now we have found ways to keep pushing the technology.”

The second trend is the ever-increasing component density of chips, known in the industry as More than Moore.

More than Moore, Painter explains, is about integrating into a microchip components that until recently could only be positioned elsewhere on a device’s circuit board. “You still have a silicon chip loaded with transistors, but in that same packaged black box, you also build capacitors, antennas, filters, and so on,” he says.

Putting circuit-board components into a single microchip lowers power consumption and speeds up system operation because the electric current doesn’t have to travel as far; it is what has enabled the electronics industry to keep improving smart phones, MP3 players, and electronic notebooks, Painter explains. “We’re going to keep Moore’s law going for at least five to six years, and beyond that, there is that other concept out there, More than Moore,” he says.

At Dow, one of the main R&D thrusts in electronics today is the development of materials that enable a More than Moore idea: 3-D semiconductors. Three-dimensional chips are really several chips—perhaps a microprocessor, a memory chip, and an analog communication device—combined into one. Connecting the layers are small holes—called through-silicon vias, or TSVs—that allow wires to run up and down the chip, Dow’s Markham explains. Dow has developed a suite of copper-based wiring materials that are optimized for use in TSVs.

BASF has also developed products for TSVs. The holes are far larger than the nanometer-scale wiring patterns on the chips themselves, Taen explains, but they must be filled—and in a rapid manner. “Once again, there’s a lot of chemistry involved in making that possible,” he says.

Adhering to Moore’s law and More than Moore has enabled chip makers to bring complex chips down to a minute size—the Atom is smaller than a penny—but it comes at a cost: “You put less and less material into the chip, so what you ask this material to do becomes more demanding,” ATMI’s Doering says.

Turning a virgin silicon wafer into a semiconductor involves three steps. As Doering puts it, “Deposition is about putting the material on the wafer, lithography is about deciding where you want to leave the material or where you want to take it away, and etching is about removing the material that you don’t want.”

Suppliers seek ways to facilitate these steps. For example, Air Liquide has found a means to address one of the challenges of lithography based on 193-nm-wavelength light. Semiconductor fabricators have tweaked the technique to make it work for the past three generations of computer chips—those with 65-, 45-, and 32-nm circuit lines. For 32-nm chips, which are being rolled out this year, chip makers resorted to a series of tricks, including double patterning, to wring one more generation out of the technology.

Air Liquide’s contribution is precursors to create a sacrificial silicon-based film that enables double patterning. “Precursors in double patterning are deposited onto the photosensitive layer and therefore cannot be heated to the high temperatures of normal deposit conditions,” says Jean-Marc Girard, chief technology officer of Air Liquide’s electronics business. The standard silicon precursors, such as dichlorosilane, can’t be used in double patterning because they have to be deposited at a temperature that lithographic photoresist polymers can’t tolerate. “That is why we have launched a series of precursors that allow low-temperature deposition to take place,” Girard says.

For Air Liquide, new materials opportunities have been rewarding. The company’s precursor business is growing much faster than the semiconductor market, Girard says. It is a level of growth that the firm will continue to enjoy for a few more years, he believes. “When you get into the 30-nm to 15-nm range, there’s really no alternative to using these types of precursors,” he adds.

Introducing nontraditional materials into an already-complex manufacturing process only complicates the puzzle that is microchip design. The latest semiconductors, for example, use copper rather than aluminum as standard wiring material. But copper is highly conductive, and in the wrong place it can ruin a chip. ATMI has come up with various materials to prevent copper migration, Doering says.

Another problem with new materials is incompatibility. “How the materials interact with each other becomes critical,” BASF’s Taen explains.

An example is the potentially catastrophic combination of copper and the new insulators known as porous low-k dielectrics, which are far better than silicon dioxide at preventing cross talk between the closely spaced circuit lines. But the combination brings the risk of short circuits, according to Dow’s Markham. The solution, she says, is exotic new barrier films applied between the dielectric and the copper. “As we shrink semiconductors, we add materials that correct the problems of other materials,” Markham says.

The problem of incompatibility has made R&D for new materials harder than ever for chemical makers. In response, ATMI has linked with a company called Intermolecular, which has certain rights to high-throughput-experimentation technology developed by informatics firm Symyx. ATMI is applying the technology to the electronics field. “We’re taking combinatorial science out of other areas such as biotechnology and applying that in semiconductors,” Doering says. With combinatorial techniques, ATMI can quickly survey many materials and come up with solutions that meet thorny sets of requirements.

But the combinatorial approach has its limits, cautions JSR’s Koshiba. He briefly considered adopting combinatorial tools, he says, but concluded that they would have only a limited impact on the development of materials such as photoresist. JSR could use advanced techniques to assist in the creation of new molecules and formulations at an accelerated pace. After that, however, the firm would hit a bottleneck.

SHIPPING IT OUT
[+]Enlarge
Credit: JSR
JSR makes photoresist on its production line in Yokkaichi, Japan.
Credit: JSR
JSR makes photoresist on its production line in Yokkaichi, Japan.

It normally takes at least one week to thoroughly test a few materials in the company’s applications lab, which is located in Yok­kai­chi, Japan. “If it’s a photoresist, you have to spin it on a silicon wafer, expose it, make patterns, and perform a lot of other advanced steps, including a defect test,” he says.

A materials producer has no choice but to conduct comprehensive tests with actual production tools. Investing in extra testing capacity to make the speed of materials evaluation match the speed of rapid synthesis is not a viable option, he adds. A lithography scanner alone sells for at least $50 million, and an entire lithographic package, including a coating tool and methodology tool, can cost $100 million.

The payout for investing in new materials, however, can be high. Koshiba notes that when JSR developed a new topcoat material tailored for use with lithography scanners produced by leading equipment maker ASML, JSR got a reward: Its products are used exclusively with ASML devices. “Our topcoat has become the global standard,” he says. “I’d guess we have a 100% market share.”

For new products, BASF’s Taen adds, profit margins tend to be higher than for more mature products. “You have to be able to sustain the R&D expenses and make a good business out of your investment,” he says. “But it’s a fine balance because the customer at some point wants a cost reduction. It just gets tougher over time.”

At present, Taen says, the semiconductor industry is working flat-out, with capacity utilization rates of 100% at almost all companies. With demand booming for new materials, makers of electronic chemicals are enjoying robust profit margins. In contrast with the severe downturn that the semiconductor industry experienced during the recession, these are the best of days.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.