Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Forging the Way to High-k Dielectrics

Numerous electronic chemical producers are ready to supply hafnium-based materials

by MICHAEL MCCOY, C&EN NORTHEAST NEWS BUREAU
June 27, 2005 | A version of this story appeared in Volume 83, Issue 26

 

WELL-SUITED
[+]Enlarge
Credit: ATMI PHOTO
ATMI produces multiple dielectric materials at its Burnet, Texas, facility.
Credit: ATMI PHOTO
ATMI produces multiple dielectric materials at its Burnet, Texas, facility.

COVER STORY

FORGING THE WAY TO HIGH-K DIELECTRICS

In the 1980s, the typical semiconductor contained silicon and a dozen other elements. By the 1990s, the figure had crept up to about 15. The year 2000, however, saw the start of an explosion in the use of new materials in semiconductor production. Driven by Moore's law--the prediction by Intel cofounder Gordon Moore that the number of transistors in a computer chip would double every two years--the semiconductor industry is plumbing the depths of the periodic table to find materials that will aid in that miniaturization.

Patrick P. Gelsinger, senior vice president of Intel's digital enterprise group, told a Chemical Heritage Foundation symposium on the 40th anniversary of Moore's law last month that a new generation of semiconductors that Intel is launching later this year will contain 51 elements. Although silicon is still key to making semiconductors, Gelsinger said, today it functions much like a scaffold or frame on which to hang many more-exotic materials.

The semiconductors that Intel will debut are known as the 65-nm generation for the width of the circuit lines they contain. When Intel's 45-nm generation comes out near the end of 2007, it may add yet another member of the periodic table: hafnium.

Hafnium-containing compounds are today the leading contenders to become a new breed of semiconductor material called high-k dielectrics for their high dielectric constant. A number of chemical companies are jockeying to become suppliers of these new compounds, knowing full well that they are playing a perilous game because semiconductor makers try to hang on to familiar materials for as long as they can.

High-k materials are being developed for use in the transistor, the guts of the semiconductor. Specifically, they will function as the gate insulator, a thin layer in the transistor that separates the gate, which turns current flow on and off, from the channel through which the current flows.

Katherine Derbyshire, founder of Massachusetts-based consulting firm Thin Film Manufacturing, explains that for years, the semiconductor industry used silicon dioxide as its gate insulator. SiO2, with a dielectric constant, or k value, of 4.2, did the job perfectly because it was well understood and was highly compatible with the transistor's underlying silicon metal layer.

As semiconductor size shrinks in keeping with Moore's law, the SiO2 transistor gate must be made thinner and thinner to maintain adequate capacitance across it. But below 2 nm or so, Derbyshire says, the gate becomes so thin that electrons can leak through and sap power from the device.

A product like hafnium oxide, with a k value of about 30, gets around this problem because it can form a thicker gate that blocks electrons while maintaining capacitance. But the use of hafnium oxide presents fabrication challenges. "The problem," Derbyshire says, "is that silicon dioxide is a wonderful material to work with, and nothing else even comes close."

For example, although SiO2 can be formed on silicon by simple thermal oxidation, hafnium oxide must be deposited with sophisticated chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques. Furthermore, because the deposition process involves volatilization, the hafnium must be sold as a volatile, typically organic, precursor.

THE RESULT IS that although semiconductor makers know they will have to adopt high-k dielectrics eventually, they want to put off the transition as long as possible. John Mestemacher, marketing manager for emerging materials at Air Products & Chemicals, notes that hafnium-based dielectrics were first expected to debut with the 65-nm generation of computer chips and then with the 45-nm generation.

Now, based on the state of development at Air Products' customers, Mestemacher expects some of the industry won't adopt them even at 45 nm. "I'm not surprised, because this stuff is hard to do," he says. "It's not just a matter of putting a new film down."

In fact, Paolo A. Gargini, Intel's director of technology strategy, said at an electronics industry meeting recently that his firm was challenging its engineers to find a way to delay the use of high-k materials until the 32-nm generation, which, for Intel, will go into production in 2009. Most other semiconductor makers are a year or two behind Intel in their production cycles.

On the other end of the spectrum, high-k dielectrics are already here in the dynamic random access memory, or DRAM market, which represents about 20% of the computer chip business. According to Mestemacher, Japanese DRAM makers started using a tantalum oxide high-k dielectric a few years ago. Then in late 2003, South Korean DRAM makers introduced an aluminum oxide high-k material. This year, he says, the Koreans are transitioning to a combination of aluminum oxide and hafnium oxide.

The larger logic semiconductor market breaks down into two main segments: high-power chips like Intel's Pentium processors that are used in personal computers and commercial servers, and low-power chips for battery-powered mobile devices like cell phones and laptop computers.

Cynthia Hoover, director of electronics R&D at Praxair, acknowledges that customers "won't change until they absolutely have to." Nevertheless, she figures that makers of chips for mobile devices can ill afford the power-draining effects of SiO2 dielectrics. Thus, Hoover anticipates that low-power chip makers will go high-k at the tail end of the 65-nm generation, in 2007 or so. High-power chip makers will be the final adopters, she figures, likely for the 45-nm generation.

The material of choice in the logic market is also still up in the air. In late 2003, chip maker Texas Instruments heralded hafnium silicon oxynitride (HfSiON) as a successful new dielectric, while Intel announced a breakthrough of its own but didn't disclose a specific compound. According to Phil McGraw, product manager for the oxide/nitride group at the British materials firm Epichem, the "flavor of the month" today is either hafnium oxide (HfO2) or hafnium silicate compounds like HfSiO and HfSiON.

On top of betting on a particular hafnium compound, chemical companies have the added challenge of developing appropriate precursor molecules. These precursors are placed in a CVD or ALD chamber, where they are heated, vaporized, and uniformly deposited as hafnium oxide or silicate on a silicon surface.

For the aluminum oxide dielectrics already being used in DRAM chips, one precursor is trimethylaluminum, which Air Products, for example, supplies through a distribution agreement with Akzo Nobel Polymer Chemicals, a leading manufacturer of metal alkyls. For hafnium oxide, candidate precursors include tetrakis (dimethylamino) hafnium, tetrakis (diethylamino) hafnium, tetrakis (ethylmethylamino) hafnium, and hafnium tetrachloride.

IN THE CAN
[+]Enlarge
Credit: AIR PRODUCTS PHOTO
Air Products produces dielectric and other electronic materials at its Carlsbad, Calif., facility.
Credit: AIR PRODUCTS PHOTO
Air Products produces dielectric and other electronic materials at its Carlsbad, Calif., facility.

To be a successful precursor, McGraw says, a compound must be able to survive the heating process but then be able to break down on command on the silicon substrate. It must also interact properly with the substrate so an amorphous rather than a crystalline film is formed. "You have a narrow window of stability with these precursors," he says.

ANOTHER UNCERTAINTY facing materials suppliers is whether the semiconductor industry will adopt CVD or ALD as its high-k deposition method of choice. Thomas Baum, vice president of R&D at materials supplier ATMI, explains that ALD is a newer technology that is intriguing to chip fabricators because it uses a pulsing technique to deposit incredibly thin layers one at a time. CVD, on the other hand, "is a standard technique and people are comfortable with it," Baum points out.

Materials suppliers have the unenviable task of navigating multiple possible combinations of dielectric, precursor, and deposition techniques while trying to convince customers that they are the right partner with which to advance in the high-k world. Not surprisingly, each firm maintains that it has the right technical background and has made the appropriate investments to be that partner.

Baum, for example, notes that ATMI is a veteran of the high-k arena, having gotten its corporate start in the early 1990s with funding from the U.S. Department of Defense's Defense Advanced Research Projects Agency, or DARPA. ATMI scientists published papers with Texas Instruments in 2001 and 2002 that led up to TI's 2003 announcement of success with HfSiON.

In 2001, AMTI opened a $30 million plant in Burnet, Texas, that, among other things, manufactures most of the leading hafnium precursors. More recently, ATMI developed a unique solid hafnium precursor delivery system called ProE-Vap that Baum says is designed to help deposit hafnium tetrachloride, a leading candidate to be used with the ALD technique.

Praxair launched its high-k program in 2000, Hoover says, building on decades as a supplier of process gases and sputtering targets used in film deposition. Since then, the company has beefed up support of the semiconductor industry by hiring chemists, chemical engineers, and deposition scientists at its technology center in Tonawanda, N.Y. "We try to do as much as we can here in our Tonawanda laboratories so our customers can use their precious tool time on the most promising materials," she says.

Hoover is bullish on a new Praxair line of hafnium compounds that promise less than 50 ppm zirconium, versus 1,000 to 2,000 ppm in many competing products. This was no easy task, she claims, because hafnium and zirconium are known as the two most difficult to separate elements on the periodic table.

At Air Products, Mestemacher says his firm began investing in high-k materials in the late 1990s and launched its first joint development program with a customer in 2000. He says the company has installed pilot-scale equipment at its Carlsbad, Calif., facility that allows it to deposit high-k films from gram quantities of precursors more quickly and less expensively than a customer could with commercial-scale equipment.

High-k dielectrics are coming, and Air Products is well positioned to supply them, Mestemacher maintains. At the same time, he is acutely aware of the pains that electronic chemicals firms experienced a few years earlier as they piled into a similar market--low-k dielectrics--only to watch adoption get pushed further and further back when semiconductor makers tweaked familiar dielectrics to work longer.

Mestemacher doesn't see high-k delays extending as long. Yet he also knows the semiconductor industry doesn't want to take any chances on new materials that aren't ready. "You're talking about a part of the device that is right at the heart of the electrical performance of the circuit," he says. "It's really critical that they get this right."

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.