Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

New chemistry debuted at Semicon

July 17, 2006 | A version of this story appeared in Volume 84, Issue 29

Suppliers of chemicals and other materials used the semiconductor fabrication trade show Semicon West, held last week in San Francisco, to unveil their latest wares and initiatives. Air Products & Chemicals launched PDEMS interlayer dielectric, an organosilicate glass precursor for porous low-dielectric-constant (low-k) films. The company says makers of plasma-enhanced chemical vapor deposition equipment are evaluating the material for next-generation 45-nm semiconductor technology. DuPont Electronics Technologies introduced CuSolve, aqueous formulations for removing post-etch residue from integrated circuits that feature copper circuit lines and low-k dielectrics. Rohm and Haas and Dow Corning renewed their joint agreement to develop spin-on silicon hard-mask antireflective coating products for semiconductor fabrication. The collaboration, which began two years ago, combines resins made by Dow Corning with Rohm and Haas's antireflective coatings. And Praxair disclosed that it has expanded capacity for its UpTime dopant gas storage and delivery systems in Kingman, Ariz., and Oevel, Belgium. The company says the technology has been increasingly adopted by chip fabricators since it was introduced three years ago.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.