Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Electronic Chemicals

Good times in the semiconductor industry are making up for setbacks in photoresists and dielectrics

by MICHAEL MCCOY, C&EN NORTHEAST NEWS BUREAU
June 28, 2004 | A version of this story appeared in Volume 82, Issue 26

EARLY ADOPTER
[+]Enlarge
Credit: TAIWAN SEMICONDUCTOR MANUFACTURING CO. PHOTO
Contract manufacturer Taiwan Semiconductor Manufacturing Co. is one of the first semiconductor companies to incorporate a low-k dielectric in commercial products.
Credit: TAIWAN SEMICONDUCTOR MANUFACTURING CO. PHOTO
Contract manufacturer Taiwan Semiconductor Manufacturing Co. is one of the first semiconductor companies to incorporate a low-k dielectric in commercial products.

The semiconductor industry is booming, and that's good news for the chemical companies that supply it. Most suppliers expect double-digit sales growth this year and a corresponding rise in profits. But while chemical executives are optimistic about the future, they also acknowledge that it is going to take a lengthy boom to make up for the difficult years they have endured--and for the money they have spent developing new materials that, so far, their customers haven't adopted.

Earlier this month, the Semiconductor Industry Association issued a midyear forecast that calls for 28.6% growth in semiconductor sales in 2004 to $214 billion worldwide. Although a record, the expected sales are up only modestly over the $204 billion the industry posted in 2000. The semiconductor industry crashed the following year to $139 billion in sales and recovered only slowly in 2002 and 2003.

According to Friedrich Herold, vice president of Clariant's AZ Electronic Materials unit, the pace of recovery finally began to accelerate during the second half of 2003. "In the last nine months, we've seen pretty steady growth," he says.

The rule of thumb is that the semiconductor materials business grows at about half the rate of the semiconductor industry itself. In Clariant's case, however, Herold says the firm is enjoying annual growth of more than 20%, thanks to strong sales of photoresists and ancillary materials to makers of both semiconductors and flat-panel displays.

Rohm and Haas's electronic materials business is also growing as fast as the industry it serves, notes Yi Hyon Paik, president of its microelectronic technologies unit, which mainly serves the semiconductor industry. Overall, Rohm and Haas Electronic Materials sales in the first quarter of 2004 rose 19% over the same period last year, to $302 million, and earnings jumped a whopping 48%, to $31 million.

Paik attributes the outsized earnings improvement to higher demand, growth in advanced technologies, and cost efficiencies the firm has implemented in recent years. "We lowered our breakeven point during the downturn years," he says.

Eric Johnson, chief operating officer of JSR Micro, the U.S. arm of Japan's JSR, says his firm's recent sales gains are closer to what the rule of thumb calls for. Its best performance actually came during the downturn years of 2001 and 2002, thanks to strong gains in its photoresist market share, now almost 42% in the U.S.

Like Clariant's Herold, John Mestemacher, marketing manager for emerging materials at Air Products & Chemicals, says his firm is benefiting from good times both in semiconductors and in flat-panel displays, which are growing as fast as 30% annually. But Mestemacher is willing to accept growth rates that aren't quite as high as his customers'. "We don't do as good in good times, and we don't do as bad in bad times," he points out.

GOOD REFLECTION
[+]Enlarge
Credit: JSR PHOTO
R&D conducted by JSR Micro in Sunnyvale, Calif., supports a broad range of photoresists and other products.
Credit: JSR PHOTO
R&D conducted by JSR Micro in Sunnyvale, Calif., supports a broad range of photoresists and other products.

TODAY'S GOOD TIMES for materials suppliers mask the growing pains they are experiencing in two next-generation materials that electronics customers have been clamoring for: the photoresists used to pattern semiconductor circuit lines and the dielectric materials used to insulate those lines from each other.

As the electronics industry progressively miniaturizes its integrated circuits, it tries to follow a guideline called the International Technology Roadmap for Semiconductors. Although that roadmap is charted by the industry's top scientists with the best of intentions, reality sometimes intervenes to change its course. That's what has happened in the photoresist industry, which took a sharp turn that resist suppliers still haven't recovered from.

Photoresists are polymer-containing mixtures that are spun onto a silicon wafer. When light is shined through a circuit-line-bearing photomask, the resist areas not shaded by the mask are chemically altered and easily removed with solvents. The polyhydroxystyrene-based photoresists used in most of today's high-end computer chips are imaged with 248-nm light and are used to draw circuit lines either 130 or 90 nm wide.

The next generation of photoresist the roadmap calls for are methacrylate-based polymers that are exposed with 193-nm light and are used to draw 90- and 65-nm lines. These resists are now being scaled up in 90-nm devices and will be in commercial electronics by the end of the year. Chips with 65-nm lines should debut after 2005.

Next were to be fluorine- and silicon-based polymers that are exposed in 157-nm light to create 45-nm lines in chips set to come out later in the decade. But a year ago, semiconductor giant Intel rebelled against 157-nm lithography, citing concerns about the production-worthiness of the multi-million-dollar "stepper" equipment that would be used to project the light.

By the beginning of 2004, according to Ralph R. Dammel, Clariant's director of technology for 193- and 157-nm photoresists, most semiconductor firms had similarly shifted direction and were championing a variety of 193-nm lithography known as 193 immersion because it involves projecting light through water, lowering its effective wavelength to about 132 nm. "It is going to be the next technology, unless something really drastic happens," Dammel says; "157 is being kept alive in the event that it does."

Although developers of stepper equipment were hardest hit by the lithography shift, photoresist companies were themselves left to reassess a considerable amount of 157-nm R&D. Clariant, for example, is now wrapping up the 157-nm development agreement it struck last year with chipmaker Infineon Technologies. Dammel says the partners are still working to deliver a prototype photoresist but have canceled a full-blown development effort. Rohm and Haas, meanwhile, is assessing the fate of a 157-nm fluoropolymer development agreement it signed with DuPont.

Despite the setback, materials suppliers contend that the demise of 157-nm lithography is not a total loss because the resist chemistry can be applied elsewhere. As JSR's Johnson says, "There is an instinctive 'Gosh, we lost all that,' but the reality is that most of the work can be translated to 193." Johnson won't provide details, but he says JSR sees a place for fluorine and silicon chemistry developed for 157 nm in both conventional and immersion 193-nm lithography.

Herold concurs with this assessment, adding that, in Clariant's case, aggressive 193- and 157-nm R&D has served to raise the company's stature in the marketplace. "Before 1995, we weren't a major player in high-tech lithography," he says. "That turned with 193, and with our 157 work, we have succeeded in being recognized as a technology leader."

Meanwhile, Dow Corning is taking advantage of a field in flux to enter the market for 193-nm photoresist raw materials. In January, it announced plans to offer silsesquioxane-based resins for use in bilayer photoresists and antireflective coatings, building on its position as a supplier of silsesquioxane dielectric materials.

Anil Saxena, Dow Corning Electronics' market manager for lithography solutions, told C&EN at the time that the company will be a raw materials supplier to photoresist firms like Clariant and Rohm and Haas rather than a competitor in finished resists. Bilayer resists made some inroads at 248 nm, and Saxena expects further adoption in 193-nm and 193-immersion products as a solution to recurrent problems with single-layer products.

Although conventional 193-nm lithography is still being refined, photoresist suppliers anticipate with some relief that the shift to immersion will be an evolutionary rather than a revolutionary one. "The existing methacrylate chemistry is quite capable in the immersion technology," Dammel says. "We do not need to develop a new platform."

That said, immersion lithography does put the silicon wafer in contact with water. Rohm and Haas's Paik sketches out two possible scenarios: In one, the resist is in direct contact with the water and will likely have to be chemically tweaked to avert leaching of water into the resist and vice versa. In the other, the resist is coated with a transparent top layer to isolate it from the water.

Most photoresist suppliers are hard at work on both fronts. Dammel notes that customers would rather not add another step to semiconductor fabrication, but that it may be unavoidable. At a recent conference in Japan, he delivered a paper that describes an immersion-lithography top coating Clariant developed by drawing on long experience in the field of antireflective coatings.

Although the new lithography roadmap looks fairly straightforward, Herold cautions against complacency. Polyhydroxystyrene resists were developed over 15 years, 193-nm resists came to market in half that time, and now "193 immersion is on the ultimate fast track." Indeed, only one immersion-compatible stepper exists in the world today. "If you look at the delays in 193 dry, it is fair to expect delays in 193 immersion, too," Herold says.


SEMICONDUCTOR MATERIALS
Chemical makers are enjoying electronics industry recovery

 SALES
$ MILLIONS20022003a2004a
Silicon wafers$5,626$6,010$6,914
Other substrates440475545
Photomasks2,2542,3062,465
Photoresists683744850
Photoresist ancillaries666723789
Wet chemicals636669713
Gases1,8011,8361,967
Sputtering targets300324363
CMP slurries & pads428495573
Other new materials205238291
TOTAL$13,039$13,820$15,470
    
% Growth 6.0%11.9%

a Estimate. SOURCES: SEMI and Techcet Group


THE TWISTS AND TURNS experienced in lithography, while formidable, are nothing compared to those that have plagued the dielectric materials field in recent years.

Dielectrics are used to insulate metal circuit lines from one another. For many years, the industry used silicon dioxide applied via chemical vapor deposition (CVD). SiO2's k value, or dielectric constant, of 4.2, however, isn't a good enough insulator to prevent "cross talk" between the closely spaced copper wires of the high-end chips manufactured since the late 1990s.

Brand new insulating materials--known as low-k dielectrics because their k value is below 3.0--were expected to be adopted in 2001 in chips with 130-nm circuit lines. Instead, most of the industry ended up using fluorinated silicate glass (FSG), a stopgap material made by infusing SiO2 with silicon tetrafluoride. FSG has a k value of 3.7 and was expected to be used only in 180-nm chips.

The low-k generation is finally arriving, but fitfully. In February, the chip fabrication equipment company Applied Materials held a press conference to trumpet the use of its Black Diamond low-k CVD film in 90- and 130-nm semiconductors from seven firms. Four of them have their chips made by Taiwan Semiconductor Manufacturing Co., a chip contract manufacturer, or foundry.

In addition, a February report by Chipworks, a consulting firm that takes apart and analyzes chips, said Intel's 90-nm semiconductors are also based on a low-k dielectric--reportedly the Aurora CVD film from equipment maker ASM International.

Other chipmakers, however, continue to use at least some FSG at 90 nm. Chips made for semiconductor developer Xilinx by UMC, another major Taiwanese foundry, use FSG exclusively, Chipworks says, while chips from Sony and Texas Instruments use a combination of low-k and FSG dielectric.

Sony's low-k material is said to be Black Diamond, and Texas Instruments employs the Coral CVD product from Novellus Systems, Applied Materials' chief competitor. This application notwithstanding, Novellus Chief Executive Officer Richard S. Hill said recently that he expects 90-nm chips to be based largely on FSG.

The conservatism exhibited in adopting low-k dielectrics is understandable, according to Rohm and Haas's Paik. He calls the successful integration of low-k materials "one of the largest challenges the semiconductor industry has ever seen." Air Products' Mestemacher adds that this is simply because the mechanical properties of dielectrics decrease as their k values decrease.

WITH JUST ONE EXCEPTION, the semiconductor industry hasn't even touched the spin-on dielectrics developed in the past five years by chemical companies such as Dow Chemical, Air Products, Rohm and Haas, JSR, and Honeywell. That exception is Dow's SiLK brand polyphenylene material, which is being used commercially by Fujitsu, according to Mark McClear, Dow's global business director for semiconductor fab materials.

SiLK took a public relations hit in September when IBM, an early backer of the material, revealed that it would instead use CVD technology for its 90-nm chips. According to Dow, IBM confirmed the production viability of SiLK, and even used it for some chips, but decided to switch "for business reasons."

WAFER WATCHER
[+]Enlarge
Rohm and Haas's technology center in Marlborough, Mass., features advanced metrology capabilities.
Rohm and Haas's technology center in Marlborough, Mass., features advanced metrology capabilities.

McClear is taking the news in stride. "SiLK got some bad press because of the IBM decision, but CVD has its problems as well," he says. "The real story is that integrating any low-k material is hard work."

If the dielectric picture at 90 nm seems murky, at 65 nm and beyond it gets positively opaque. McClear says he expects two more SiLK adopters at 65 nm, out of a field of 12 major semiconductor makers worldwide. Mestemacher, on the other hand, says, "Our sense is it will be a CVD-dominated world at 65 nm."

If that's the case, Air Products should benefit, as it is a supplier of precursor chemicals for all three major CVD processes. Working with manufacturer Dow Corning, the company supplies trimethylsilane for Black Diamond, tetramethylcyclotetrasiloxane for Coral, and dimethyldimethoxysilane for Aurora, all of which have a k value in the neighborhood of 3.0. Air Products is also promoting a new material, diethylmethoxysilane, or DEMS, which Mestemacher says is in the "sweet spot" between acceptable k value and good mechanical properties.

Advertisement

He figures 65-nm chips can get by on existing dielectrics that are doped with added carbon down to a k value of 2.7 or so. The 45-nm generation will need something more, however, and that something is generally expected to be the introduction of porosity into the dielectric to lower k values below 2.5.

Companies that missed the 90-nm boat are generally regrouping to supply 65- and 45-nm materials one way or another. For example, Paik predicts that Rohm and Haas's Zirkon, a spin-on material that combines methyl silsesquioxane with polymeric nanospheres, will be a contender. It gets applied as a solid, goes through the rigorous processing steps that might damage a porous material, and only then is made porous by baking out the polymer.

Air Products is promoting its own pair of porous materials: PDEMS, a version of DEMS that also uses a bakeout process; and MesoELK, a spin-on product the company debuted in 2001 but now sees as a player at 65 nm later this decade. Meanwhile, Dow has a porous version of SiLK, and JSR is offering several porous spin-on products.

Low-k material purveyors acknowledge they have spent a lot on R&D with little to show for it. When Paik became president of his firm's microelectronic technologies business three months ago, he says Zirkon looked like a longshot to him. But customer interest has picked up sharply since then, and he says he is now committed to it.

Dow's McClear figures that roughly 10 companies have invested $10 million to $20 million each to develop low-k dielectrics, with no sales so far. "The next time something like this comes around, people are going to take a skeptical look," he says. But is Dow still committed to SiLK? You bet, McClear says.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.