Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

CMP Road Gets Rough

The chip-smoothing chemical market is coveted by a growing list of companies

by MICHAEL MCCOY, C&EN NORTHEAST NEWS BUREAU
August 2, 2004 | A version of this story appeared in Volume 82, Issue 31

ON THE FLOOR
[+]Enlarge
Credit: PHOTO BY MICHAEL MCCOY
DuPont and other firms showed their wares at the recent Semicon West trade show.
Credit: PHOTO BY MICHAEL MCCOY
DuPont and other firms showed their wares at the recent Semicon West trade show.

At the semicon west semiconductor equipment and materials trade show in San Francisco last month, competing announcements spelled potential trouble for companies in the fast-growing business of chemical mechanical planarization (CMP) slurries.

On the one hand, chemical makers such as Mitsui Chemicals, Asahi Glass, and Ferro signaled their intention either to enter or become more deeply involved in the already crowded CMP slurries market. On the other hand, equipment makers like Applied Materials and Novellus unveiled new planarization devices designed to help semiconductor manufacturers sharply cut their consumption of the expensive slurries.

CMP is a technique used by chip makers to smooth or polish the successive layers of circuitry that they deposit on silicon wafers while fabricating a chip. From modest beginnings in the late 1980s--when Cabot Corp. and IBM first worked together to develop the process--CMP has blossomed into a global business. At its midyear briefing in San Francisco, Semicon organizer Semiconductor Equipment & Materials International estimated the market for CMP slurries and polishing pads to be worth $575 million this year and growing to $680 million by 2006.

The typical slurry contains water, a silica or ceria abrasive, surfactants, complexing agents, corrosion inhibitors, biocides, and solubilizing additives. Circuit-line-covered silicon wafers are immersed in the slurry and pressed against a CMP pad that is typically made of polyurethane. Using gentle pressure and rotation, the pad/slurry system removes imperfections from the wafer before subsequent layers of circuitry are applied.

CMP was first used to polish silicon oxide, later expanding to smooth the tungsten interconnects found in multilevel chips. While these uses still exist, the technique is growing fastest in removing excess copper in the new generation of semiconductors that employ copper instead of aluminum as a circuit line medium. Copper, which is applied by electrodeposition, accounts for roughly a quarter of the CMP market today.

In introducing its new CMP tool at Semicon, Novellus declared that "CMP remains the most immature and expensive process" in a semiconductor facility. Novellus says its tool, called Xceda, delivers its slurry through pores in the CMP pad, a technique that contributes to a reduction in slurry usage of up to 40%.

Applied Materials, with its new tool, is taking the more radical approach of introducing ECMP, for electrochemical mechanical planarization. Whereas conventional CMP tools use downward pressure to press a wafer against a slurry-covered pad--wet sanding, in effect--Applied Materials' Reflexion LK ECMP tool employs an electric current to reverse the copper electrodeposition process. Instead of an abrasive-containing slurry, the ECMP approach works with a simple electrolytic solution.

CMP SLURRY providers at Semicon were abuzz, and not a little alarmed, about Applied Materials' announcement. Although the ECMP tool still requires a conventional slurry for the second half of the copper planarization process, Applied Materials is claiming operating cost reductions of up to 30%--achieved largely through lower slurry consumption.

The new tool also promises gentler treatment of fragile materials that are increasingly being used in semiconductor manufacturing, particularly the "low-k" dielectrics that insulate the minute copper wires from each other (C&EN, June 28, page 18).

One chemical executive unperturbed by Applied Materials' announcement was Timothy D. Moser, polishing products director at Praxair Electronics. That's because Praxair codeveloped the electrolyte with Applied Materials and is now manufacturing it in Indianapolis. Moser would not say what's in the mixture, but Praxair literature claims that it costs less than half the $3.00-plus per gal that conventional slurries go for.

Praxair's work with Applied Materials is notable in that Praxair is a newcomer to CMP. Although the firm has long supplied aluminum oxide-based polishing products to makers of eyeglass lenses and computer hard drives, it entered the CMP market only a year ago through an alliance with Bayer's H. C. Starck unit, a producer of colloidal silica.

Ironically, the Applied Materials slurry contains little silica. However, Moser said Praxair and Starck offer a silica-based slurry for silicon oxide polishing and are working on a slurry for the second half of the copper process.

Any success enjoyed by newcomers like Praxair is coming largely at the expense of Cabot Microelectronics, the company that Cabot spun off as a CMP slurry specialist in 2000. Cabot Micro owned the slurry market in the early years and still commands about 70%, but the upstarts are starting to chip away at the edges of its leadership.

After years of double-digit growth, Cabot Micro reported a 4% drop in sales in its fiscal second quarter, which ended March 31, compared with the previous quarter. In a conference call with analysts, Cabot Micro's chief executive officer, William P. Noglows, acknowledged the heightened competition, pointing out that Cabot's customers often assist the new companies in order to create multiple sources and improve purchasing power. The company's fiscal third-quarter sales, in contrast, were up nearly 5%.

At Semicon, Gautam S. Grover, Cabot Micro's global marketing manager, told C&EN that customers want good prices, but that they also want products they can trust to work in a modern semiconductor fabrication plant (fab), which can cost as much as $3 billion. "The last thing they want is for something to go wrong with the slurry or the pad and shut down the fab," he said.

GROVER PREDICTS a shaking out in the CMP market over the next two to three years as newcomers realize that the R&D investment required is more than they bargained for. Cabot Micro recently made a bet on new CMP materials when it invested $3.75 million in NanoProducts Corp., a provider of nanoscale particles and technology.

The CMP sector's best example of consolidation is DA NanoMaterials, the joint venture formed in 2000 by Air Products & Chemicals and DuPont. Since then, DuPont has acquired EKC Technology and Air Products has bought Ashland's electronic chemicals unit; both deals included CMP businesses that have since been folded into the venture. At Semicon, the partners announced a new leadership team for DA NanoMaterials led by Floyd McClung, formerly general manager of EKC's CMP line.

Over the next 30 days, DA will complete the move of applications labs from former Ashland and EKC sites in Dublin, Ohio, and Hayward, Calif., respectively, to expanded facilities at its Tempe, Ariz., headquarters. The venture says it will employ more than 20 scientists and application engineers between the Tempe location and a lab in Japan.

Another combined effort is Planar Solutions, a joint venture formed in 2000 between electronic chemicals supplier Arch Chemicals and fumed silica maker Wacker Chemical. Days before Semicon, the partners announced that they were starting up a new CMP slurries plant in Mesa, Ariz., that will complement an existing one in Adrian, Mich.

Haluk Oran, Planar's director of sales and marketing, told C&EN that the joint venture started life by doubly challenging itself: It aimed not only at the then-just-emerging copper market but also at the trickier part of it--the second half of the copper planarization process known as barrier layer removal.

Oran explained that bulk copper removal, the first half of the process, is a comparatively simple step that entails polishing off the electrochemically applied copper and stopping on the barrier metal. The barrier slurry is then responsible for removing the barrier metal and planarizing the remaining dielectric materials and copper features, all at once and without selectivity. Planar worked with the International Sematech semiconductor consortium and came up with a product that was eventually designated the "best known method," or BKM, by Applied Materials.

The joint venture has grown steadily since, Oran said, reaching almost $23 million in sales last year, mostly of barrier slurries. The partners are developing a bulk copper slurry as well as slurries designed for the next-generation chips containing low-k dielectrics that could be damaged by excessive downforce.

COST CUTTER
[+]Enlarge
Credit: NOVELLUS PHOTO
New Novellus tool claims to reduce CMP slurry use by as much as 40%.
Credit: NOVELLUS PHOTO
New Novellus tool claims to reduce CMP slurry use by as much as 40%.

Other CMP players that in the past have sought out partners now claim that they are ready to go it alone. "We are starting to get traction," said Eric R. Johnson, chief operating officer at JSR Micro. "A year-and-a-half ago, we were more inclined to joint venture, but our confidence and market position have grown since then."

Given JSR's roots as a Japanese synthetic rubber producer, it's not surprising that its pads are made of rubber rather than the usual polyurethane. They contain water-soluble polymers that dissolve during polishing, leaving open pores on the pad surface. The colloidal silica abrasive in JSR's slurries feature a polymer core touted as offering a softer polish.

According to Johnson, JSR Micro began selling slurries and pads to select customers about a year ago. In May, the firm opened a new $5 million CMP laboratory at its Sunnyvale, Calif., headquarters.

Johnson oversaw this investment with the knowledge that Applied Materials, the CMP tool leader, was developing technology aimed at making some slurries obsolete. "Our customers have told us that they don't see this as a disruptive technology," he said. "It becomes a cost-benefit question."

LIKE JOHNSON, Shailesh D. Patkar, market manager for PPG Industries' CMP consumables unit, is ready to tackle the CMP business alone. Last year at Semicon, Patkar told C&EN that PPG was looking for a partner to help navigate the electronics landscape. This year, however, he claimed that PPG will partner up only if it makes business sense. "We think we are in the driver's seat," he said.

He attributes the change of heart to the firm's success over the past year. Like JSR, PPG is leveraging history--as a maker of fumed silica and polyurethane-based paints--for its push into CMP, and this seems to be paying off.

Patkar noted that, in contrast to most CMP hopefuls, PPG has had its best success in the pad business. Rohm and Haas dominates the market for CMP pads even more than Cabot Micro dominates slurries. Patkar figures this dominance is because urethane chemistry is not easy to master. PPG, however, has been able to use its urethane coatings know-how to develop a new porous pad designed for low-pressure polishing. Patkar said the pad will soon be designated BKM for silicon oxide and barrier layer polishing on a new CMP tool.

In slurries, PPG's twist is a polymer-coated precipitated silica--the reverse of JSR's silica-covered polymer. "The slurry market is extremely crowded," Patkar observed. "For a new supplier to get in, 'me too' isn't going to work."

Mitsui Chemicals, which is still testing the CMP waters, is taking the organic chemistry approach of JSR and PPG one step further. Rather than a polymer-silica combination, the firm is developing an all-polymer polishing media, according to Hiromi Hayashida, semiconductor materials manager at Mitsui's Anderson Development unit. He said the slurry will add a reactive element to the copper removal process.

Dow Chemical, meanwhile, is preparing to enter the CMP market under the brand name Meridian. Although a formal launch is still pending, Mark McClear, director of the firm's advanced electronic materials business, told C&EN that the company will soon start a push into one of the three main CMP consumables businesses--slurries, pads, and pad conditioning disks.

Other companies are venturing beyond particular CMP niches. Deepak Kumar, a technical marketing engineer at Asahi Glass Electronic Materials, said his firm has made cerium oxide slurries for years--but primarily for the shallow trench isolation needs of a single Japanese customer. Now, Kumar said, the firm is approaching the broader market. It is building a facility in Hillsboro, Ore., and is coming out with alumina and colloidal silica slurries for copper and low-k dielectric polishing.

Likewise, Ferro is using a history in cerium oxide slurries for shallow trench isolation to get into other markets. At Semicon, the firm disclosed that it is developing copper slurries using its own aluminum oxide chemistry and purchased silica materials.

Advertisement

Indeed, there seems to be no end to CMP wannabees. The Semicon midyear briefing listed the South Korean firms Cheil Industries, Sodiff Advanced Materials, and LG Chem as yet other recent market entrants. Michael Springman, vice president of sales and application support at DA Nanomaterials, has been watching the parade of suppliers in disbelief. "We count about 20 companies in CMP slurries; that can't continue for long," he said.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.