Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Chipmakers Get Chemical Help

Explosion in new semiconductor materials is evident at Semicon trade show

by MICHAEL MCCOY, C&EN NORTHEAST NEWS BUREAU
August 8, 2005 | A version of this story appeared in Volume 83, Issue 32


SHOW FLOOR
Air Products was one of several chemical makers promoting new materials at Semicon.
Credit: PHOTO BY MICHAEL MCCOY

The semiconductor industry's International Technology Roadmap for Semiconductors calls for the introduction of an unprecedented number of new materials over the next 10 years to help industry members keep shrinking the size of their products.

Cognizant of this need, chemical companies at the Semicon West trade show in San Francisco last month disclosed new efforts to supply exotic materials to semiconductor fabricators and the toolmakers that serve them. Chemical makers also highlighted advances in fast-growing markets such as chemical mechanical planarization and high-end stripping and cleaning chemicals.

Perhaps the hottest area for new materials is the deposition of ultra-thin barrier, capping, and dielectric films via chemical vapor deposition (CVD) or atomic layer deposition (ALD). According to Egbert Woelk, director of metal-organics marketing at Rohm and Haas Electronic Materials, these techniques are increasingly used to apply existing films more thinly and uniformly than prevailing sputtering techniques. They are also used to lay down entirely new films like the high-dielectric-constant materials, known as high-k dielectrics, that will be needed in next-generation semiconductors.

Though thin films typically end up on the silicon wafer as metals or simple inorganic compounds, they start out in the CVD and ALD equipment as complex metal-organic or metal-halogen precursors. These precursors are vaporized and flowed--continuously in the case of CVD or in a pulsed fashion with ALD--into a reactor containing a heated wafer. The precursors' organic or halogen ligands fall off upon contact with the wafer, leaving the desired inorganic layer.

At Semicon, which is sponsored by the Semiconductor Equipment & Materials International trade association, the electronics division of industrial gases giant Air Liquide announced the launch of a business called Aloha focused on such precursors and on systems for delivering them.

According to Jean-Marc Girard, Aloha product line director, Air Liquide has for several years quietly supplied precursors to select customers, mainly in Japan. Precursor sales grew, he said, as these customers transferred technology to other sites around the world. Now the company is formalizing the business under the Aloha name.

A typical Air Liquide effort, Girard said, is in silicon nitride, a material that will be used for spacers and capping layers in the 65- and 45-nm chips set to be commercialized in about 2007 and 2009, respectively. Semiconductor industry customers specified the parameters they sought in a SiN precursor molecule, and Air Liquide chemists responded by designing multiple possibilities and then narrowing them down. Among the precursors they arrived at are trisilylamine, hexachlorodisilane and hexakis(ethylamino)disilane.

Air Liquide has also been working on precursors for SiN and other films with the ALD and CVD toolmaker Aviza Technology. Late last year, Aviza launched Satin, a CVD process for depositing SiN at about 500 °C--low by CVD standards, but necessary for sub-90-nm semiconductor manufacturing, Aviza says.

According to Aviza, the traditional dichlorosilane/ammonia process for SiN deposition requires temperatures above 630 °C, and even newer bis(tert-butylamino)silane/ammonia processes run at 570 °C and above. The partners won't disclose the molecule that Air Liquide developed for Satin, although they do claim that it doesn't contain carbon or chlorine and thus avoids potential contamination problems.

AT SEMICON, Honeywell Electronic Materials announced its entry into the ALD precursors business via an alliance with Albany NanoTech, a nanotechnology research center at the State University of New York, Albany. Saket Chadda, chief technology officer for HEM, said Honeywell will spend at least $5 million on equipment and research at the center over the next five years to help the company develop metal precursors and other materials related to ALD.

According to Chadda, Honeywell has been conducting R&D in areas such as hafnium silicate-based high-k materials for advanced transistor production (C&EN, June 27, page 26). Becoming involved with Albany NanoTech, he said, will allow the company to collaborate with semiconductor makers that are already active at the center.

Established precursor suppliers like Rohm and Haas, Air Products & Chemicals, Praxair, and ATMI were also at Semicon. Rohm and Haas, for example, was promoting germanium-based precursors such as dimethyl(amino)germanium tetrachloride, isobutylgermane, and germanium tetrachloride used to produce strained silicon for 90- and 65-nm semiconductors. The company also supplies tetrakis(ethylmethylamino) variants of silicon and hafnium, which can be vaporized separately or together to deposit hafnium silicate high-k dielectrics.

While a number of chemical companies are pursuing the high-k dielectric market, on the other end of the spectrum Air Products, Dow Chemical, and JSR Corp. were among the firms displaying materials for low-k dielectrics, which are replacing silicon dioxide as the insulating material in semiconductors with copper-based circuitry. Unlike high-k materials, which will likely remain a niche market, low-k sales were $30 million last year and will grow to $109 million by 2008, according to the consulting firm Kline & Co.

Despite efforts by firms such as Dow and JSR to introduce polymeric insulating materials that are spun onto the silicon wafer, the low-k business today is mostly in CVD-applied materials, largely because the major CVD toolmakers have worked hard to keep it that way.

A typical low-k system now in use in 130- and 90-nm semiconductors--the most advanced chips on the market today--is Black Diamond, marketed by the equipment giant Applied Materials. Based on the precursor trimethylsilane, which is manufactured by Dow Corning and distributed by Air Products, Black Diamond is deposited in Applied's Producer CVD equipment and ends up on the silicon wafer as a carbon-doped silicon oxide that provides a k value of 3.0 or less.

SUCH DIELECTRICS will work in 65-nm devices but don't provide enough insulation for the subsequent 45-nm generation. At Semicon, Applied launched its answer to this next challenge: Black Diamond II, a system for depositing pore-containing films that boast a k value of less than 2.5.

Jennifer Sabharwal, Applied's product manager for BDII, explained that the film's precursor is a combination of a carbon/silicon-containing molecule with an organic molecule. After vaporization and deposition, the film is subject to ultraviolet curing. The organic molecule dissipates, leaving air-filled pores that lower the film's k value.

Sabharwal noted that the curing process has the additional benefit of improving the film's mechanical strength. She said Applied is working with eight customers that are prepared to use BDII in their 45-nm devices.

Although Sabharwal wouldn't disclose the chemistry behind BDII, Eugene J. Karwacki, research manager for semiconductor processing applications at Air Products, did. Karwacki revealed at an Air Products technical briefing that the BDII system uses a combination of diethoxymethylsilane (DEMS) and a-terpinene that his company has patented in plasma-based applications. Air Products calls the combination PDEMS, for porous DEMS.

Karwacki said Air Products seized on DEMS as a low-k precursor after screening 20 to 25 potential compounds. Unlike some precursors, it contains hydrogen, which can cause the dielectric film to absorb water. "In 1999 and 2000, people didn't want an 'H' on their precursor molecule," he said. "Air Products saw it as a weak bond and took advantage of it."

DEMS was discovered too late to market it as a precursor for today's solid low-k films, Karwacki said, but it is now being developed for porous low-k applications, including BDII and the Coral ULK system set to be launched by Novellus, Applied's archrival in semiconductor equipment.

While Novellus has yet to formally unveil its porous low-k material, the firm was previewing its approach for customers in a mock laboratory it set up at Semicon. Sriram Seshagiri, marketing manager for Novellus' plasma-enhanced CVD business unit, told C&EN that he expects the firm to make an announcement later this year on a system that, like Applied's, codeposits two precursors. It will then harden them with a combination of UV and thermal curing.

He said his company's approach will build on a joint development agreement announced in June with Sematech, the semiconductor technology research consortium. In October, Sematech will take delivery of Novellus CVD and UV curing equipment for use in evaluating porous films with k values of less than 2.2.

The semiconductor industry's embrace of copper circuitry and low-k insulating films has had a ripple effect for electronic chemical companies. These firms are now developing new materials such as chemical mechanical planarization (CMP) slurries and cleaning and etching chemicals that are compatible with copper and the new dielectrics.

The CMP process uses dilute silica-based slurries and a polymer-based polishing pad to smooth the silicon wafer between successive applications of circuitry or other chip-making materials. While CMP has become indispensable in the fabrication process, it has also come under fire for being an expensive, poorly controlled process. Semiconductor makers will spend more than $600 million this year on, as they see it, pads that wear out and slurries that go down the drain.

At Semicon, CMP slurry suppliers tried to emphasize both new material compatibility and cost control. Rohm and Haas, for example, introduced a CMP slurry designed to help customers deal with low-k integration at the 90- and 65-nm technology nodes. Yet the firm also claims that the slurry, called LK393c4, provides users with a 25-30% improvement in wafer throughput, and hence cost, compared with other slurries.

Faster throughput is one way to cut slurry costs. Jeffrey B. Anderson, business manager for copper with Rohm and Haas's CMP technologies unit, pointed out that competition--there are some 20 chemical companies marketing CMP slurries today--is also helping to pull down costs. And Rohm and Haas is working to reduce slurry flow rates by leveraging its role as a maker of both CMP pads and slurries.

While prices are going down, the complexity of CMP chemistry is going up. Michael Hoffman, director of marketing and business development at DA NanoMaterials, a CMP joint venture of DuPont and Air Products, said CMP slurries are becoming more chemical and less mechanical. In some slurries, the amount of abrasive silica material is being cut back and emphasis is shifting to ingredients like polymers and surfactants that are gentle to delicate low-k films.

At Semicon, DA NanoMaterials introduced a new slurry formulation called DCmP, a name that emphasizes the chemical over the mechanical. According to Hoffman, less slurry is required per wafer pass with DCmP, resulting in a lower "cost of ownership" for semiconductor fabricators.

MAKERS OF stripping and cleaning chemicals are also responding to copper circuitry and low-k dielectrics with new products. Photoresist stripping compounds designed for older aluminum circuit lines are often based on hydroxylamine chemistry. But according to Gary Dailey, product manager for the J. T. Baker microelectronics line at Mallinckrodt Baker, hydroxylamine can damage copper and has been replaced by less harmful chemistries.

Mallinckrodt Baker's new CLk-222 photoresist stripper and residue remover, for example, is designed specifically for use with copper and low-k films. Steven A. Lippy, an applications engineer at the firm, explained that the product was developed with aqueous chemistry for environmental reasons and because solvents can damage delicate low-k dielectrics.

The low-k move may also lead to greater use of specialized strippers, Lippy noted. Photoresist applied to circuits insulated with traditional SiO2 dielectric is generally burned away with oxygen-based plasma ashing, but this high-energy technique can damage newer dielectrics. As a result, some semiconductor makers are turning to wet chemistry to remove all photoresist, rather than just ashing residue.

These firms are not all turning to the same chemistry, however. Lippy remembers the days when a single stripper would work for many customers. Now, the proliferation of different dielectrics and manufacturing equipment means Mallinckrodt Baker often must customize its wet chemistry for individual firms. "I work closely with multiple customers, and all have different processes," he said. "A chemistry that works for one might fail completely with another. We have to be ready to meet that challenge."

 

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.