Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Many Roads For CMP

As materials used to fabricate semiconductors proliferate, the planarization market is fragmenting

by Michael McCoy
June 26, 2006 | A version of this story appeared in Volume 84, Issue 26

COVER STORY

Many Roads For CMP

Suppliers of chemical mechanical planarization (CMP) slurries may be fierce competitors of Cabot Microelectronics, the company considered to be the sector's number one player, but they agree with the firm's chief executive officer, William P. Noglows, on at least one point: The CMP market is fragmenting.

First practiced in the late 1980s, CMP is a technique used by semiconductor manufacturers to smooth and polish the successive layers of circuitry that they deposit on silicon wafers while fabricating a computer chip.

In a process surprisingly similar to conventional sanding, circuit-line-covered silicon wafers are immersed in an abrasive-containing slurry and pressed against a polymeric CMP pad. Using gentle pressure and rotation, the pad/slurry system removes imperfections from the wafer and planarizes-or smooths-it before subsequent layers of circuitry are applied.

From modest roots polishing bare wafers, CMP has blossomed into an essential part of the chip-making process. According to a recent report by Boston-based Linx Consulting, the global market for CMP slurries, pads, and pad-conditioning agents was worth almost $1.1 billion last year. Linx expects the market to be worth more than $1.9 billion by 2009.

Noglows described the market's fragmentation earlier this year while reporting Cabot Micro's fiscal first-quarter financial results. As he explained, when the circuitry in the semiconductor industry's most advanced chip shrank from 130 to 90 nm wide, three or four new materials were introduced in the manufacturing process.

During the industry's current transition from 90- to 65-nm circuitry, up to a dozen new materials are being introduced, Noglows said. And in the future transition from 65- to 45-nm circuitry, as many as 30 new materials could be brought into the process.

"At each transition, CMP technology has, and we believe will continue to, become more fragmented," Noglows said. "In fact, complexity within the CMP process is increasing even faster than new materials are being introduced." He attributed this phenomenon to the CMP industry's need to customize its products to work with the particular combination of conductors, insulators, and barriers that each customer develops for its own chips.

Chipmakers might take issue with the contention that the complexity of their products is lagging behind that of the slurries that planarize them. But they can't argue with numbers that show that the CMP market is growing faster than the chip market it serves.

According to the Semiconductor Industry Association, global semiconductor sales have risen from $204 billion in 2000, a boom year, to $227 billion in 2005, an 11% gain. Cabot Micro, meanwhile, has seen its sales grow from $181 million in 2000 to $270 million in 2005, an increase of 49%.

Timothy D. Moser, polishing products director at Praxair Electronics, explains that CMP is being introduced into more and more computer chips as the complexity of the semiconductor industry's products grows. He figures that 30% of chips today still aren't produced using CMP, but he says that the percentage is shrinking.

Moreover, as chipmakers cram more transistors onto their products, the number of layers of circuitry that must be polished by CMP grows. For example, Dennis Yost, vice president and general manager of the CMP business at Novellus, a manufacturer of planarization equipment, notes that the 32-nm generation of chips will likely include a new transistor design requiring planarization.

[+]Enlarge
Credit: AIR PRODUCTS PHOTO
Credit: AIR PRODUCTS PHOTO

The opportunity to become an indispensable part of the semiconductor fabrication process has attracted a wide number of companies to the CMP market. The planarization equipment market is dominated by Applied Materials, and Novellus and Ebara to a lesser extent, but the pads, slurries, and ancillary chemicals that these tools require are supplied by more than 30 companies worldwide.

And they keep coming. Although the business was pioneered by big Western and Japanese companies, Mike Corbett, a managing director at Linx Consulting, notes that recent newcomers include the South Korean firms Cheil Industries and Dong Jin in CMP slurries and SKC in pads.

At the other end of the spectrum, BASF, the world's largest chemical company, recently announced a push into CMP slurries for copper circuitry through an alliance with the Japanese firm TMP. Karl Hensen, BASF's head of global development for semiconductor materials, says his company has been active in CMP, mainly in Asia. Now it will be globalizing TMP products that already have been qualified for use at a major Japanese customer.

Slurries tend to be the point of entry for CMP newcomers. Floyd McClung, CEO of DA NanoMaterials, a joint venture between DuPont and Air Products, notes that Rohm and Haas has a solid intellectual property portfolio that makes entering the pad market difficult. Moreover, McClung adds, there may be more opportunities for differentiation with slurries than with pads, because different slurry formulations have to be used for different applications, whereas the same or similar pads can be used across different applications.

The result is that slurry pioneer Cabot Micro has borne the brunt of the CMP influx and today holds less than 50% of the slurry market, according to Corbett. In pads, on the other hand, Rohm and Haas still has the lion's share, commanding close to 90% of the market.

For aspiring CMP materials suppliers, each new semiconductor generation holds the opportunity for a big contract with a major customer. Without such a deal, companies must decide whether to try for the next generation or cut their losses. "If you haven't found success at 90 or 65 nm, you have a long time to wait for volumes that will pay back your investment," observes Nick Gutwein, CEO of Rohm and Haas's CMP business.

Already, some attrition is occurring. Moser acknowledges that Praxair has dropped development of slurries that smooth silicon dioxide to concentrate on products for the faster growing copper planarization market. And PPG Industries has cut back its efforts in conventional slurries, turning its attention to its growing CMP pads business and to next-generation slurries based on nanoparticles.

For companies that persevere, though, success can be sweet. Maria Peterson, business development director at JSR Micro, says JSR introduced its first slurries in 2000 and began recording revenues in 2002. Growth has been "quite remarkable" in the past two years, she says. JSR has also had some success against Rohm and Haas in pads and in fact won a quality supplier award from the computer chip giant Intel in 2004.

The fragmentation of the CMP market accelerated with the introduction of copper into computer chips, and breaking into CMP today means being able to supply slurries or pads that planarize copper. For decades, circuit lines were made of aluminum, but better-conducting copper metal was introduced several years ago with the advent of the 180- and 130-nm chip generations. Now, as 90-nm chips ramp up and 65-nm chips start to roll out, copper is booming.

Aluminum is applied in a subtractive process in which a blanket of metal is applied to the silicon wafer and circuit lines are created by etching away unwanted metal. Copper metallization, in contrast, is additive: Trenches are etched into a layer of dielectric insulating film, a tantalum-based barrier layer is deposited, and a layer of copper is then electrochemically deposited. Next, the copper is planarized in two consecutive steps, one that removes bulk copper and a second that simultaneously removes copper, barrier layer, and dielectric, leaving copper circuit lines surrounded by dielectric.

When Planar Solutions, a joint venture between Fuji Photo Film and Wacker Chemie, entered the CMP business in 2000, it headed straight for the technically challenging barrier copper market. Haluk Oran, Planar's director of sales and marketing, says the firm's sales are now growing at double-digit rates and were in excess of $30 million last year.

As their name implies, CMP slurries work through a combination of chemical and mechanical action. In a copper slurry, Oran explains, an oxidizing agent such as hydrogen peroxide converts copper metal into a copper oxide, and then an abrasive agent—typically a colloidal precipitated silica—removes it. The first-step bulk copper slurry is designed to planarize rapidly and then stop on the tantalum barrier layer. The barrier slurry used in the second step, in contrast, must fastidiously remove tantalum, copper, and dielectric at rates needed to achieve the desired topography.

To meet these demands, slurries contain ingredients such as pH adjusters, chelating agents, lubricants, corrosion inhibitors, and surface modifiers. According to JSR's Peterson, yet other components can include polar molecules that alter wafer surface charge and polymers that induce the slurry to "self-stop" when uneven topography has been smoothed out.

A new type of slurry contains no abrasive at all. Two years ago, Applied Materials introduced a planarization tool that employs an electric current to reverse the copper electrodeposition process. Instead of an abrasive-containing slurry, Applied launched its Reflexion ECMP tool with a simple electrolytic solution manufactured by Praxair.

Liang Chen, vice president and general manager of Applied Materials' planarization products group, says ECMP is in various stages of production and qualification for use in 10 CMP tools worldwide. Other industry players, such as Novellus' Yost, claim that ECMP is still a niche technology.

Whatever the case, the ECMP approach points to a truth about CMP: The abrasives in a CMP slurry can scratch a silicon wafer. And although this scratching can be tolerated in 90- and even 65-nm chips, it threatens to introduce defects—defectivity, in industry parlance—that are fatal to subsequent chip generations. Yet, as Oran points out, abrasive-free slurries that planarize solely through chemical means can bring their own unwanted baggage, such as corrosion and low productivity.

Slurry developers are using various means to tackle the planarization of next-generation semiconductors. Cathie Markham, vice president of technology at Rohm and Haas's CMP business, notes that many newer Rohm and Haas slurries contain fewer, and smaller, abrasive particles. Other slurry approaches in development at the company involve polymeric materials, and still others are abrasive-free.

At JSR, the emphasis is on a particle in which an inorganic material surrounds a flexible polymer core; the result, which JSR calls Soft-brasive, is considered less likely to cause defects than a pure inorganic material is. As for abrasive-free slurries, "Our goal isn't to have or not have abrasives, our goal is to achieve a certain defectivity, planarity, and throughput," Peterson says. "If we can do that without abrasives, so much the better, but I'm not going to constrain our R&D scientists by saying they have to."

For all the evolution it has gone through, CMP is still a relatively new and costly technology. As Novellus' Yost says, "Although the cost of ownership of CMP has come down, it's still quite expensive compared to other processes" employed in semiconductor fabrication. His company's latest contribution to the technique's maturation is a tool called CopperVision that contains probes and other devices allowing users to monitor wafer thickness in real time.

A similar note is sounded at Applied Materials. The company, which also practices in situ monitoring, constantly looks for "differentiated" slurries and pads, Chen says. At the same time, however, he cautions that "there will continue to be an increased sensitivity to cost."

While suppliers of CMP slurries and pads confront requests for lower costs, they also must adapt to a fragmenting market in which each customer demands products specialized for its particular chip fabrication scheme. McClung says DA NanoMaterials meets such demands through product "tunability": the ability to add to or otherwise customize a basic slurry skeleton to satisfy the needs of specific customers.

At Rohm and Haas, Markham points to the different copper, barrier, capping, and dielectric materials a customer can adopt, and explains that traditional CMP product lines don't work anymore. "We develop platforms," she says. "We have a technical approach to the overall question. We hear the customer's requirements, take our best shot at designing something, and then we iterate with them. It's a kind of mass customization."

Rohm and Haas CMP's Gutwein says this new approach to product development will influence the makeup of the CMP supplier landscape five years from now.

He looks back at the evolution of the field and sees a lot of companies trying for success based on strong chemical and materials expertise. In his view, materials know-how is still crucial, but it's not enough to ensure survival in the future. "Chemical companies need to understand semiconductor processes, quality, and mind-set in order to make their materials work in the semiconductor environment," he says. "Otherwise, it becomes very difficult to survive."

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.