Advertisement

If you have an ACS member number, please enter it here so we can link this account to your membership. (optional)

ACS values your privacy. By submitting your information, you are gaining access to C&EN and subscribing to our weekly newsletter. We use the information you provide to make your reading experience better, and we will never sell your data to third party members.

ENJOY UNLIMITED ACCES TO C&EN

Materials

Making Films One Layer At A Time

Applications of atomic layer deposition now extend well beyond electronics

by Mitch Jacoby
August 1, 2011 | A version of this story appeared in Volume 89, Issue 31

Layer By Layer
Credit: Cambridge NanoTech
Trimethyl aluminum and water react via sequential reactions to form an ultrathin film of alumina, as depicted in this animation.

Talk to thin-film experts about a coating technology known as atomic layer deposition (ALD) and several of them are sure to say excitedly, “It’s all about the chemistry.”

Memory Booster
Credit: www.youris.com
Simon Elliott of Ireland's Tyndall National Institute discusses a European Union project that developed a novel oxide and associated ALD method for increasing memory-stick storage capacity.

The chemistry attribution is due to highly selective surface reactions that work in concert to drive ALD and produce films of exceptional quality. The excitement conveyed by these scientists arises from the technique’s growing commercial importance and its rapid expansion into a dizzying array of technology areas.

“In just the past few years, there has been an explosion in the number of ALD applications,” says University of Maryland’s Gary W. Rubloff, a materials science professor and director of the Maryland NanoCenter.

One of the most highly commercialized applications of ALD, and a key driver of the field, is semiconductor processing. In the ongoing push to further miniaturize microelectronics, manufacturers now design devices with dense arrays of very high aspect ratio (long and narrow) features. To function properly, devices with that kind of geometry—for example, trench cell capacitors, which are widely used in cell phones and other products—require an ultrathin and defect-free electrically insulating metal oxide coating on the closely spaced microscopic features. ALD readily delivers such films.

But ALD’s reach extends far beyond semiconductors, according to Jill Becker, chief executive officer and founder of Cambridge NanoTech, a manufacturer of ALD research and industrial equipment based in Cambridge, Mass. With its ability to apply perfect films to complex three-dimensional objects, ALD is quickly making headway into lighting and flexible-display technologies; catalysis and energy applications, such as batteries, fuel cells, and photovoltaics; as well as textiles and micro- and nanoelectromechanical devices. The deposition method is also making inroads in biotechnology and biomedical applications.

All of those subjects and more were topics of discussion earlier this summer in Cambridge, at an ALD conference organized by Becker and Rubloff and sponsored by AVS, a science and technology organization devoted to materials, interfaces, and processing. The international conference, which was well attended by a mix of industrial researchers, academics, and national lab scientists, brought together seasoned ALD practitioners and newcomers looking to see whether ALD holds promise for their thin-film applications.

Instrumental
[+]Enlarge
Credit: Mitch Jacoby/C&EN
At Cambridge NanoTech, Bhatia examines a silicon wafer coated via ALD with 1,000 Å of zinc oxide, the source of the blue color. (The light region is a reflection of a ceiling fixture.)
At Cambridge Nanotech, Bhatia examines a silicon wafer coated via ALD with 1000 Å of zinc oxide, the source of the blue color. (The light region is a reflection of a ceiling fixture.)
Credit: Mitch Jacoby/C&EN
At Cambridge NanoTech, Bhatia examines a silicon wafer coated via ALD with 1,000 Å of zinc oxide, the source of the blue color. (The light region is a reflection of a ceiling fixture.)

ALD’s defining characteristics are that it produces molecularly uniform and pinhole-free films that are highly conformal—that is, they exactly follow the contours of objects that are oddly shaped by the standards of other film deposition processes.

“For high-aspect-ratio structures, such as nanowires, no other thin-film technique can approach the conformality achieved by ALD,” says Steven M. George, a professor of chemistry and chemical engineering at the University of Colorado, Boulder. The high quality of the product results from the unique surface chemistry that underpins ALD.

Unlike other deposition processes, such as ones based on evaporation or sputter coating, ALD proceeds by way of sequential self-limiting surface reactions—usually a pair of reactions that form a binary product from two types of precursor molecules.

Multicoat
[+]Enlarge
Credit: Anirudha Sumant/Argonne National Laboratory
The nanoporous alumina membrane shown in this SEM image was coated with tungsten via ALD and coated again with diamond for medical implant compatibility studies.
Multicoat The nanoporous alumina membrane shown in this SEM image was coated with tungsten via ALD and coated again with diamond for medical implant compatibility studies.
Credit: Anirudha Sumant/Argonne National Laboratory
The nanoporous alumina membrane shown in this SEM image was coated with tungsten via ALD and coated again with diamond for medical implant compatibility studies.

In practice, a pulse of reagent A reacts selectively with one type of functional group on the surface of the substrate that’s being coated. The reaction is described as self-limiting because it cannot continue after the finite number of surface functional groups have reacted. Excess reagent A is pumped away and the modified substrate is then exposed to a pulse of reagent B, which reacts selectively with the functional groups left behind by the reaction with A.

Because of the reaction’s high selectivity, ALD does not require that the reagents be pulsed with line of sight to the surface—as required by other techniques. Rather, in ALD, the reagent molecules can find their way to surface sites that are shadowed from the reagent source.

The archetypal example of an ALD reaction is formation of alumina (Al2O3) through sequential reactions of trimethylaluminum (TMA) and water. Hydroxyl groups, which are found or easily deposited on the surfaces of many materials, react readily with TMA to form stable O–Al bonds. The reaction caps the surface with O–Al(CH3)2 species. Pulsing water onto the surface displaces the methyl groups and leaves a fresh layer of hydroxyl groups ready to react with the next pulse of TMA. Because each A-B pulse cycle in this example lays down just a single molecular layer of alumina, “ALD provides precise thickness control at the angstrom level,” George says. For Al2O3, that level of control is roughly 1 Å per TMA-water cycle.

Making other materials by way of ALD means coming up with suitable precursors and ALD reaction cycles. The requirements are fairly stringent, according to Ritwik Bhatia, a senior research scientist at Cambridge NanoTech. For example, precursors must be stable and have sufficient vapor pressure to be delivered as gas pulses to an ALD reaction chamber, he explains. They must also adsorb selectively on the intended substrate and avoid bonding to like precursors and forming multilayers. Overall, the precursors must strike just the right balance between stability and reactivity.

With the tobacco mosaic virus serving as a high-surface-area template, this microbattery cathode material was prepared by depositing a composite of nickel and V2O5 as core and shell (dark inner and light outer regions), respectively, as seen in these SEM (top) and TEM images.

Several vendors, including Sigma-Aldrich, Air Products & Chemicals, and Strem Chemicals, offer a variety of organometallic and metallo-organic reagents for ALD chemistry. The compounds are used to grow films of metal oxides such as HfO2,TiO2,V2O5, and ZnO; films of tantalum, platinum, ruthenium, and other metals; and an assortment of metal nitrides, metal sulfides, and other ­materials.

Applications of metal oxides in microelectronics remain one of ALD’s hot areas. At Tyndall National Institute, in Cork, Ireland, Simon Elliott served as coordinator for a recently completed multi-institutional project that developed an ALD method to deposit a custom rare-earth oxide to boost storage capacity in flash memory devices. In addition to Elliott, a specialist in ALD simulation and modeling, the European Union project (abbreviated REALISE) included experts in ALD-related chemistry, instrumentation, analysis, and manufacturing.

“Improvements in memory chips are now only possible by bringing in new materials that can be laid down with the high quality needed,” Elliott says. The team came up with such a material—a tailor-made lanthanum-doped zirconium oxide—and developed an ALD method for applying it that is compatible with semiconductor industry standards. The oxide, which is now available commercially, serves as a nanometer-thin insulator that stops charge (stored data) from leaking out of microscopic flash memory components. The material and process were used to manufacture flash memory capacitors that are one-third the size and 70% less expensive than the corresponding capacitors used today. “In this way, ALD is helping to put the microelectronics industry on track for making a low-cost 1-terabyte USB memory stick,” Elliott says.

Just as reducing the size of electronic components can boost data storage capacity in equivalent-sized memory devices, that strategy can be used to increase charge storage capacity in batteries without increasing their footprints. At the University of Maryland, Eka­terina Pomerantseva, a research associate working with Reza Ghodssi, a professor of electrical and computer engineering, exploits ALD’s knack for coating nanowires to make novel biotemplated electrodes for microsized lithium-ion batteries.

Specifically, the team, which also includes graduate student Konstantinos Gerasopoulos, formed densely packed arrays of modified tobacco mosaic virus on a gold surface and then plated nickel from solution onto the nanowire-like viruses. The nickel serves as a current collector. Then the group used ALD to grow 20- to 40-nm-thick outer films of titania (TiO2) and, separately, vanadia (V2O5), on top of the nickel. These electrochemically active oxides function as anode and cathode, respectively.

Variety
[+]Enlarge
Numerous types of organic, inorganic, and hybrid films can be grown via ALD chemistry.
ALD: Atomic Layer Deposition
Numerous types of organic, inorganic, and hybrid films can be grown via ALD chemistry.

On the basis of preliminary electrochemical tests comparing the core-shell biotemplated electrodes to conventional planar ones, the team finds a 20-fold increase in charge capacity per footprint area. They also find that battery performance remains fairly stable through hundreds of charging cycles. The group published results from the initial phase of the project last year in Chemical Communications (DOI: 10.1039/C0CC01689F).

In addition to their electrical and electrochemical properties, thin films of metal oxides also exhibit useful diffusion barrier and optical properties. Many research groups, including ones in industry, are developing ALD methods for applying oxide films to polymers used in the food industry, for example. Their aim is to produce packaging materials that resist food-degrading oxygen and water better than today’s materials. Similar procedures are being developed to protect organic light-emitting diodes from oxygen and water infiltration. What’s more, the oxide films’ flexibility and transparency make them ideal for use with other organic electronic applications, such as flexible displays and organic solar cells, both of which require unimpeded light transmission.

Protective coatings for flexible displays are one of George’s key interest areas, but the Colorado researcher approaches the problem via ALD with a twist. He calls it molecular layer deposition (MLD). The process is nearly the same in principle as ALD. But switching from TMA and water to two bifunctional monomers, for example, deposits a molecular fragment during each reaction cycle and in that way builds up organic polymer films. And by pulsing with precursors such as TMA and an organic diol such as ethylene glycol, George takes it one step further and fashions films of hybrid organic-inorganic polymers such as poly(aluminum ethylene glycol), also known as alucone.

“What’s neat about the hybrids is that you can tune film composition and properties such as hardness, density, and index of refraction from completely inorganic to completely organic with molecular-scale gradations,” George says.

The level of dexterity afforded by sequential reaction methods is motivating researchers to use ALD to make tailored metal catalyst particles. In a recent study, Jeffrey W. Elam and Jeffrey T. Miller of Argonne National Laboratory and their coworkers demonstrated that palladium particles ranging in size from less than 1 nm to roughly 2 nm can be prepared in uniform-sized batches by adjusting ALD parameters. Conventional methods for preparing metal particles typically lead to broad particle size distributions, which rule out the opportunity to determine the dependence of a catalyst’s activity on particle size. For some systems, particle size strongly influences catalytic activity. As it turns out, in the test reaction studied by the Argonne team—methanol decomposition—all palladium particles smaller than 2 nm exhibited similar activities (ACS Catal., DOI: 10.1021/cs2000957).

While much of the ALD community focuses on electronics, display, and energy applications, a few out-of-the-box uses for ALD are steadily gaining attention. In 2009, Mato Knez of the Max Planck Institute of Microstructure Physics, in Halle, Germany, garnered significant press attention when he and his coworkers showed that the toughness of spider silk could be increased substantially by infiltrating the fibers’ protein structures with metal atoms via ALD methods (Science, DOI: 10.1126/science.1168162). The work suggests that other fibrous materials could be modified in a way that enhances their properties for application purposes.

Jesse S. Jur, a textile specialist at North Carolina State University, Raleigh, sees an opportunity to use ALD along those lines. He aims to coat cotton, paper, and synthetic fibers for use in a number of applications, including fiber-based batteries, capacitors, and sensors. In addition to ALD’s unique ability to coat long and narrow objects, the method is also attractive because it works at temperatures low enough to avoid damaging thermally sensitive materials, such as cellulose paper. A potential showstopper in this area is the difficulty in accurately measuring conductivity values from conductive coatings on nonconductive fibrous materials. Recently, Jur and coworkers described a procedure for making those measurements (Adv. Funct. Mater., DOI: 10.1002/adfm.201001756).

Biomedical applications certainly seems like the odd man out in this story, but that area is also looking to ALD methods for ways to customize materials. Roger J. Narayan of the University of North Carolina/NCSU Joint Department of Biomedical Engineering says several investigators have been focusing on these kinds of studies for the past few years.

One of Narayan’s research thrusts is developing biocompatible coatings for medical implants. He teamed up with Elam and Anirudha V. Sumant at Argonne National Laboratory’s Center for Nanoscale Materials on a project sponsored by the Department of Energy to investigate how diamond coatings can be applied to implanted biosensors, drug delivery devices, and sensory prostheses. Diamond is corrosion resistant and compatible with many types of cells but can exhibit surface roughness. The team’s solution is a triple layer at tissue-implant interfaces: a nanoporous alumina membrane coated via ALD with tungsten and further coated with diamond via chemical vapor deposition. The ALD-deposited tungsten layer enhances diamond nucleation, leading to implants with smoother, more conformal diamond films.

Advertisement

Charles L. Dezelah, general manager at Picosun, an ALD instrument manufacturer with headquarters in Espoo, Finland, and Detroit, says that ALD practitioners have historically thought of ALD as “just” a thin-film deposition technique. But he sees a much broader future for the method. “With its unique layer-by-layer atomic precision, ALD is a virtually limitless tool for nanochemistry,” he says.

Article:

This article has been sent to the following recipient:

0 /1 FREE ARTICLES LEFT THIS MONTH Remaining
Chemistry matters. Join us to get the news you need.